• 10 000 produkter

  • Snabb leverans

  • Frakt från 29 kr

Sök
Priset är nedsatt med -17%

Pmod SSD - 7-segmentsdisplay 2-siffror

Art nr: 41010117

Tyvärr, produkten har utgått ur vårt sortiment

10 000 produkter

Snabb leverans

Frakt från 29 kr

Behöver du hjälp? Vi finns här!
Ring 040-298760 (måndag till fredag 10-16), eller mejla på info@electrokit.se

Produktbeskrivning

ÖppnaStäng

Produktbeskrivning

The PmodSSD offers a single two-digit seven-segment display device (7sd) that can attach directly to any Digilent system board. The 7sd uses high-bright LEDs that can are easily readable with less than 5mA of current, so they can be driven directly from most system boards.

Two-digit high bright seven-segment display
Small size (0.80" x 0.80")
Ships with TWO 6" 6-pin cables and TWO 6-pin headers

Produktinformation

  • SKU:

    41010117

Relaterade kategorier